Hradlová pole představují perspektivní programovatelné součástky, které tvoří samostatný segment polovodičových prvků vedle mnohem známějších mikroprocesorů. Protože vyžadují zcela jiný přístup, neproniklo jejich použití k širšímu okruhu potenciálních zájemců. Kniha ukazuje základní postupy při použití jazyka VHDL v programování hradlových polí. Pro tvorbu programů jsou v maximální míře využity šablony, které spolehlivě vedou k úspěšným řešením. To zabraňuje dopracovat se k neúspěšným výsledkům,... Celý popis

Koupit za 199 Kč
  • Od nejoblíbenějších
  • Od nejlevnějších
  • Od nejdražších

Popis

Hradlová pole představují perspektivní programovatelné součástky, které tvoří samostatný segment polovodičových prvků vedle mnohem známějších mikroprocesorů. Protože vyžadují zcela jiný přístup, neproniklo jejich použití k širšímu okruhu potenciálních zájemců. Kniha ukazuje základní postupy při použití jazyka VHDL v programování hradlových polí. Pro tvorbu programů jsou v maximální míře využity šablony, které spolehlivě vedou k úspěšným řešením. To zabraňuje dopracovat se k neúspěšným výsledkům, které by mohly mít odrazující efekt. Současně jsou zde ukázány postupy obvyklé při simulaci obvo Řešené příklady ve VHDL - Jiří Král od authora Jiří Král a nakladatelství za skvělé ceny na e-shopu Martinus.cz
Zobrazit více

Parametry

Žánr naučné
Rok vydání 2010
Autor Jiří Král
Počet stran 127
Výrobce BEN
Jazyk české